interconnectassiez